Vivadoで最初のプロジェクトをつくる

ショートカットからvivadoを立ち上げます.
Vivado 2020.1をダブルクリック.


立ち上がった画面の左にある「Create Project」を選択.


次の画面は「Next」で進みます.


プロジェクト名とフォルダ位置の指定画面となるので,フォルダを選択して, Project nameに任意の名前(英数字とアンダースコア( _ )のみ)を入れます.


RTL Projectを選択して「Next」.


ソースファイルを作成するため,Create Fileを選択.


File nameに任意のソースファイル名を入力(日本語不可).OKをクリック.


指定したファイル名が追加されます.「Next」をクリック.


次に,ピンアサイン用のファイルを追加します.「Add Files」を選択.


ピンアサイン・ファイルは こちらにあります(「basys3 master xdc」で検索すると出てきます).
「Basys3_Master.xdc」という名前で適当なフォルダに保存しておきます.
「Basys3_Master.xdc」を追加します.


以下のような画面となるので,左下のCopy constraints …にチェックをして,「Next」をクリック.


次に,使用するFPGAの型番を指定する.「xc7a35tcpg236-1」を選択して「Next」.


表示された画面で「Finish」をクリック.


プロジェクトが作成されるので「OK」をクリック.


下の画面で「Yes」をクリック.


これでプロジェクトのメイン画面となります.



戻る